コンパイル


 まず、ファイルを保存する。 次に、コンパイラボタン 「」 を押すか、「MAX+plusII」メニューの「Compiler」を選択すると、 コンパイラが起動する。 「Start」ボタンを押すと、コンパイルを開始する。 成功すると、「Project compilation was successful」と表示される。 「warnings」はとりあえず気にしなくて良い。 「errors」がある時は、エラーでコンパイルに失敗しているので、修正する。

Compiler

コンパイラのウインドウ

Successfully compiled

コンパイル成功


(作成: 2003年10月15日, 最終更新: 2003年10月15日)